Jump to Content

Resource-bounded multicore emulation using Beefarm

Oriol Arcas
Nehir Sonmez
Gokhan Sayilar
Satnam Singh
Osman S. Unsal
Adrian Cristal
Ibrahim Hur
Mateo Valero
Microprocessors and Microsystems (2012)

Abstract

In this article, we present the Beefarm infrastructure for FPGA-based multiprocessor emulation, a popular research topic of the last few years both in FPGA and computer architecture communities. We explain how we modify and extend a MIPS-based open-source soft core, we discuss various design tradeoffs to make efficient use of the bounded resources available on chip and we demonstrate superior scalability compared to traditional software instruction set simulators through experimental results running Software Transactional Memory (STM) benchmarks. Based on our experience, we comment on the pros and cons and the future trends of using hardware-based emulation for multicore research.